失眠网,内容丰富有趣,生活中的好帮手!
失眠网 > vivado版本升级导致的IP锁定的更新解决办法

vivado版本升级导致的IP锁定的更新解决办法

时间:2018-09-28 03:06:26

相关推荐

vivado版本升级导致的IP锁定的更新解决办法

vivado建立的工程经常会出现版本升级而导致的IP核锁定情况,博主以vivado .2的版本打开旧版本为例,介绍两种更新方法:

打开旧版本的vivado工程后,会弹出如下图窗口要求用户选择(图1)。如果用户需要重新修改工程的话,就选择第一种,反之如果只需要查看工程,并不做修改,就选择第二种。

图1

我们选择第一种方式来实现IP核的更新和可修改操作。打开工程后会出现如下图所示的工程,工程中的IP核会标红并有一个锁的标志(图2),此时该IP核不可以被更新和编辑。

图2

更新IP核的方法有两种:

方法一:

查询IP核的状态报告 菜单栏:Reports-> Report IP Status(图3),在vivado的底部窗口会弹出工程所有的IP核的状态(图4),选择需要更新的IP核即可。更新完成后,被锁住的IP就可以正常配置了。

图3

图4

方法二:

在 Tcl console中 执行如下一条命令即可:

upgrade_ip [get_ips]

按下回车键即可同时更新工程所有的IP核图(5)。

图5

通过上述两种方法更新后的IP核状态如下图6所示:双击已经能够正常编辑,红色锁也消失了。

图6

如果觉得《vivado版本升级导致的IP锁定的更新解决办法》对你有帮助,请点赞、收藏,并留下你的观点哦!

本内容不代表本网观点和政治立场,如有侵犯你的权益请联系我们处理。
网友评论
网友评论仅供其表达个人看法,并不表明网站立场。